如何理解system verilog 中的virtual函数

您所在的位置:网站首页 virtual function的作用 如何理解system verilog 中的virtual函数

如何理解system verilog 中的virtual函数

2023-08-11 23:58| 来源: 网络整理| 查看: 265

举一个例子:

class bird;//定义一个基类

virtual function print() display("I am a bird!");endfunction//定义一个virtual函数

endclass:bird

class red_bird extends bird;//定义一个子类

function print() display("I am a red bird!");endfunction

endclass :red_bird

initial begin

bird bird_h = new();//创建基类对象

red_bird red_bird_h = new();//创建子类对象

bird_h.print();//调用基类的print函数,打印结果为 "I am a bird!"

red_bird_h.print();//调用子类的print函数,打印结果为 "I am a red bird!"

bird_h = red_bird_h;//将基类的指针指向子类的对象

bird_h.print();//再次调用print函数,打印结果为"I am a red bird!"

//如果将基类中print函数前的virtual关键字去掉,再次调用bird_h.print(),会得到哪个?答案是"I am a bird"

//结论:对一个virtual函数,通过指针被调用时,是由这个指针指向的对象的决定调用哪个具体的函数,而不是指针的类型决定.反之,如果记类函数声明时没有加virtual关键字,那么该函数被调用时是由指针类型决定应该调用哪个具体函数.

end

 



【本文地址】


今日新闻


推荐新闻


CopyRight 2018-2019 办公设备维修网 版权所有 豫ICP备15022753号-3